delays in verilog


1. how to synthesise delay using verilog or vhdl? i think using buffers if so tell me how?

Asked By: karthik_988
On: Sep 10, 2005 9:03:36 AM

Comments(2)



delays cant synthesize only in tb we can synthesize
I think delays cannot be sybnthesized.FRONT END ppl have a rough approx. abt the delays and the technology (of the CMOS trans)behind that.after netlisting it is upto circuit design eng. who optimizes this.
You have to be logged in to be able to post a comment. To login Click Here. First time? Signup It just takes a few minutes to sign up.
Members with Most Replies
Find Job Openings