Connect a birdirection port in module


I have a design that use two modules with bidirection port. I don't know how to connect them together so that my top module could export a bidirection port too. Is anyone knows how to write such structure in verilog.

Asked By: sungjung
On: Mar 23, 2005 8:55:13 PM

Comments(2)



inout PD; //bidirectional Bus input PDin; //input to the module output PDout;//output from the module assign PDin = PD;//input is also assigned to inout assign PD = (out_enable)? PDout : zzz ; //inout with resepect to the enable output is assigned to PD this is the logical coding.
inout global | | inout2 | inout ----tristate>----------->tristate---- | | | | | tristate | | tristate |
You have to be logged in to be able to post a comment. To login Click Here. First time? Signup It just takes a few minutes to sign up.
Members with Most Replies
Find Job Openings